上海交通大学学报(自然版) ›› 2013, Vol. 47 ›› Issue (01): 1-6.
• 无线电电子学、电信技术 • 下一篇
张民选,孙岩,宋超
收稿日期:
2012-05-30
出版日期:
2013-01-30
发布日期:
2013-01-30
基金资助:
国家自然科学基金项目(60970036)
ZHANG Min-Xuan, SUN Yan, SONG Chao
Received:
2012-05-30
Online:
2013-01-30
Published:
2013-01-30
摘要: 介绍了纳米级集成电路中软错误的发生机制、发展趋势以及评估技术,概括了软件、电路和体系结构以及工艺器件级软错误的缓解对策,并针对软错误问题相关研究的发展提出几点建议.
中图分类号:
张民选, 孙岩, 宋超. 纳米级集成电路的软错误问题及其对策 [J]. 上海交通大学学报(自然版), 2013, 47(01): 1-6.
ZHANG Min-Xuan, SUN Yan, SONG Chao. Soft Error Problem and Countermeasure in Nanometer Scale Integrated Circuits [J]. Journal of Shanghai Jiaotong University, 2013, 47(01): 1-6.
[1]Mitra S, Seifert N, Zhang M, et al. Robust system design with builtin softerror resilience [J]. IEEE Transactions on Computer, 2005, 38 (2): 4352.[2]Shivakumar P, Kistler M, Keckler S W, et al. Modeling the effect of technology trends on the soft error rate of combinational logic [C]∥International Conference on Dependable Systems and Networks. Maryland: IEEE, 2002: 389398.[3]Mukherjee M. Architecture design for soft errors [M]. Amsterdam: Elsevier, 2008: 13.[4]黄海林, 唐志敏, 许彤. 龙芯1号处理器的故障注入方法与软错误敏感性分析[J]. 计算机研究与发展, 2006, 43 (10): 18201827.HUANG Hailin, TANG Zhimin, XU Tong. Fault injection and soft error sensitivity characterization for faulttolerant Godson1 processor [J]. Journal of Computer Research and Development, 2006, 43 (10): 18201827.[5]贺朝会, 杨秀培, 张卫卫, 等. 应用α源评估静态存储器的软错误[J]. 原子能科学技术, 2006, 40 (S1): 192195.HE Chaohui, YANG Xiupei, ZHANG Weiwei, et al. Soft error evaluation in SRAM using α sources [J]. Atomic Energy Science and Technology, 2006, 40 (S1): 192195.[6]周学海, 余洁, 李曦, 等. 基于指令行为的Cache可靠性评估研究[J]. 计算机研究与发展, 2007, 44 (4): 553559.ZHOU Xuehai, YU Jie, LI Xi, et al. Research on reliability evaluation of cache based on instruction behavior [J]. Journal of Computer Research and Development, 2007, 44 (4): 553559.[7]傅忠传, 陈红松, 崔刚, 等. 处理器容错技术研究与展望[J]. 计算机研究与发展, 2007, 44 (1): 154160.FU Zhongchuan, CHEN Hongsong, CUI Gang, et al. Processor faulttolerance technology research and prospect [J]. Journal of Computer Research and Development, 2007, 44 (1): 154160.[8]孙岩. 纳米集成电路软错误分析与缓解技术研究[D]. 长沙: 国防科学技术大学计算机学院, 2010.[9]Hazucha P, Karnik T, Maiz J, et al. Neutron soft error rate measurements in a 90nm CMOS process and scaling trends in SRAM from 0.25μm to 90nm generation [C]∥IEEE International Electron Devices Meeting (IEDM 2003). USA: IEEE, 2003: 21.5.121.5.4.[10]Seifert N, Slankard P, Kirsch M, et al. Radiationinduced soft error rates of advanced CMOS bulk devices[C]∥IEEE International Reliability Physics Symposium Proceedings. California: IEEE, 2006: 217225.[11]Mukherjee S S, Weaver C, Emer J, et al. A Systematic methodology to compute the architectural vulnerability factors for a highperformance microprocessor [C]∥International Symposium on Microarchitecture. San Diego, USA: IEEE, 2003: 2940.[12]Wang N J, Quek J, Rafacz T M, et al. Characterizing the effects of transient faults on a highperformance processor pipeline [C]∥Inational Conference on Dependable Systems and Networks. Florence: IEEE, 2004: 6170.[13]Walcott K R, Humphreys G, Gurumurthi S. Dynamic prediction of architectural vulnerability from microarchitectural state [C]∥International Symposium on Computer Architecture. San Diego: IEEE, 2007: 516527.[14]Meixner A, Sorin D J. Error detection using dynamic dataflow verification [C]∥International Conference on Parallel Architectures and Compilation Techniques. Brasov: IEEE, 2007: 104115.[15]Schulz M, Bronevetsky G, Fernandes R, et al. Implementation and evaluation of a scalable applicationlevel checkpointrecovery scheme for mpi programs [C]∥Supercomputing. Pittsburgh: IEEE Computer Society, 2004: 38.[16]Karnik T, Vangal S, Veeramachaneni V, et al. Selective node engineering for chiplevel soft error rate improvement [C]∥VLSI Circuits Digest of Technical Papers. Honolulu: IEEE, 2002: 204205.[17]Gill B S, Papachristou C, Wolff F G, et al. Node sensitivity analysis for soft errors in CMOS logic [C]∥International Test Conference. Austin: IEEE, 2005: 19.[18]Rockett L R. An SEUhardened CMOS data latch design [J]. IEEE Transactions on Nuclear Science, 1988, 35 (6): 16821687.[19]Mitra S, Zhang M, Waqas S, et al. Combinational logic soft error correction [C]∥International Test Conference. Santa Clara, USA: IEEE, 2006: 19.[20]Sasaki Y, Namba K, Ito H. Soft error masking circuit and latch using schmitt trigger circuit [C]∥21st International Symposium on Defect and FaultTolerance in VLSI Systems. Arlington: IEEE, 2006: 327335.[21]Garg R, Jayakumar N, Khatri S P, et al. A Design approach for radiationhard digital electronics [C]∥43rd ACM/IEEE Design Automation Conference. San Francisco: IEEE, 2006: 773778.[22]Michels A, Petroli L, Lisboa C A L, et al. SET fault tolerant combinational circuits based on majority logic [C]∥21st International Symposium on Defect and FaultTolerance in VLSI Systems. Arlington: IEEE Computer Society, 2006: 345352.[23]Mohanram K, Touba N A. Partial error masking to reduce soft error failure rate in logic circuits [C]∥Proc of 18th International Symposium on Defect and FaultTolerance in VLSI Systems. Boston: IEEE Computer Society, 2003: 433440.[24]孙岩, 张民选, 李少青, 等. 基于敏感寄存器替换的电路软错误率与开销最优化[J]. 计算机研究与发展, 2011, 48 (1): 2835.SUN Yan, ZHANG Minxuan, LI Shaoqing, et al. Optimizing soft error rate and overhead of circuit based on sensitive registers replacement [J]. Journal of Computer Research and Development, 2011, 48 (1): 2835.[25]Miskov Z N, Marculescu D M C. Modeling and reduction of soft errors in combinational circuits [C]∥Proc of 43rd ACM/IEEE Design Automation Conference. San Francisco: IEEE, 2006: 767772.[26]Rao R R, Blaauw D, Sylvester D. Soft error reduction in combinational logic using gate resizing and flipflop selection [C]∥Proc of International Conference on ComputerAided Design (ICCAD’06). San Jose: ACM, 2006: 502509.[27]Hill E L, Lipasti M H, Saluja K K. An accurate flipflop selection technique for reducing logic SER [C]∥Proc of 38th International Conference on Dependable Systems and Networks (DSN’08). Anchorage: IEEE Computer Society, 2008: 128136.[28]Zhang W, Gurumurthi S, Kandemir M, et al. ICR: Incache replication for enhancing data cache reliability [C]∥International Conference on Dependable Systems and Networks (DSN’03). San Francisco: IEEE Computer Society, 2003: 291300.[29]Hu J, Wang S A, Ziavras S G. Inregister duplication exploiting narrowwidth value for improving register file reliability [C]∥36th International Conference on Dependable Systems and Networks (DSN’06). Philadelphia: IEEE Computer Society, 2006: 281290.[30]Slegel T J, Averill R M, Check M A, et al. IBM’s S/390 G5 microprocessor design [J]. IEEE Micro, 1999, 19 (2): 1223.[31]Oh N, Shirvani P P, McCluskey E J. Error detection by duplicated Instructions in superscalar processors [J]. IEEE Trans Reliability, 2002, 51 (1): 6375.[32]Rotenberg E. ARSMT: A microarchitectural approach to fault tolerance in microprocessors [C]∥FaultTolerant Computing. Madison: IEEE Computer Society, 1999: 8491.[33]Reinhardt S K, Mukherjee S S. Transient fault detection via simultaneous multithreading [C]∥International Symposium on Computer Architecture. Vancouver: IEEE, 2000: 2536.[34]Vijaykumar T N, Pomeranz I, Cheng K. Transientfault recovery using simultaneous multithreading [C]∥International Symposium on Computer Architecture. Anchorage: IEEE, 2002: 8798.[35]Gomaa M, Scarborough C, Vijaykumar T N, et al. Transientfault recovery for chip mMultiprocessors [C]∥International Symposium on Computer Architecture. San Diego: IEEE, 2003: 98109.[36]Zhang W, Li T. Microarchitecture soft error vulnerability characterization and mitigation under 3D integration technology [C]∥International Symposium on Microarchitecture. Lake Como: IEEE Computer Society, 2008: 453446.[37]Hongbin S, Pengju R, Nanning Z, et al. Architecting highperformance energyefficient soft error resilient cache under 3D integration technology [J]. Microprocessors and Microsystems, 2011, 35 (4): 371381.[38]Sun G Y, Kursun E, Xie Y. Exploring the vulnerability of CMPs to soft errors with 3D stacked nonvolatile memory [C]∥International Conference on Computer Design. Amherst: IEEE, 2011: 366372.[39]Sun H B, Liu C Y, Xu W, et al. Using magnetic RAM to build lowpower and soft errorresilient L1 cache [J]. IEEE Tran VLSI System, 2012, 20 (1): 1928.[40]KleinOsowski A, Cannon E H, Oldiges P, et al. Circuit design and modeling for soft errors[J]. IBM Journal of Research and Development, 2008, 52 (3): 255263. |
[1] | 陶威, 刘钊, 许灿, 朱平. 三维正交机织复合材料翼子板多尺度可靠性优化设计[J]. 上海交通大学学报, 2021, 55(5): 615-623. |
[2] | 倪何, 覃海波, 郑奕杨. 考虑给水泄漏的锅炉升负荷仿真及其可靠性[J]. 上海交通大学学报, 2021, 55(4): 444-454. |
[3] | 高英铭, 陈震, 张秀芳, 潘尔顺. 基于随机流网络与Markov过程的制造系统可靠性建模及维护优化[J]. 上海交通大学学报, 2021, 55(3): 229-235. |
[4] | 许显杨,陈璐. 考虑设备可靠性与能耗的平行机调度[J]. 上海交通大学学报, 2020, 54(3): 247-255. |
[5] | 高魏华, 吕广强, 曹鲁光, 丁小芩, 李烽. 软硬件综合FMEA在弹载嵌入式软件中的应用[J]. 空天防御, 2020, 3(1): 10-16. |
[6] | 吴礼银,许标,张庆,文宾双. 降额设计在核电厂安全级DCS可靠性分析中的研究[J]. 上海交通大学学报, 2019, 53(Sup.1): 98-103. |
[7] | 倪安宁1,刘晏尘1,崔毓伟1,卢军莉2. 评价公交行程时间可靠性价值的Mixed Logit模型[J]. 上海交通大学学报(自然版), 2019, 53(2): 146-152. |
[8] | 王晓晗,王韬,李雄伟,张阳,黄长阳. 基于人工蜂群的硬件木马测试向量生成方法[J]. 上海交通大学学报, 2019, 53(10): 1218-1224. |
[9] | 龙周, 陈松坤, 王德禹. 基于SMOTE算法的船舶结构可靠性优化设计[J]. 上海交通大学学报, 2019, 53(1): 26-34. |
[10] | 甘志云, 刘贵吉, 李江, 董春, 马璟. 手工超声波检验在CRA复合管焊缝中的应用[J]. 海洋工程装备与技术, 2018, 5(增刊): 287-289. |
[11] | 李林斌. 深水结构设计流剖面的推算方法研究[J]. 海洋工程装备与技术, 2018, 5(增刊): 193-198. |
[12] | 王威, 陈国民, 陈琦, 鲁瑜, 张宗超. 海底腐蚀缺陷管道可靠性分析及风险评估[J]. 海洋工程装备与技术, 2018, 5(6): 390-395. |
[13] | 陈松坤,王德禹. 基于神经网络的蒙特卡罗可靠性分析方法[J]. 上海交通大学学报(自然版), 2018, 52(6): 687-692. |
[14] | 韦益夫1,2,KAWAMURA Yasumi3,王德禹1,2,3. 改进移动最小二乘法及其在结构可靠性分析中的应用[J]. 上海交通大学学报(自然版), 2018, 52(4): 455-460. |
[15] | 唐旻,吴林晟,李晓春,毛军发. 集成电路碳纳米管互连建模与特性研究[J]. 上海交通大学学报(自然版), 2018, 52(10): 1135-1141. |
阅读次数 | ||||||
全文 |
|
|||||
摘要 |
|
|||||